简介

 邀请好友注册,还能免费 具体操作见  http://www.eecourse.com/group/9/thread/205

      UVM是Universal Verification Methodology的简称,即通用验证方法学。该课程讲解了用于设计验证的UVM验证方法学的基础知识,是学习UVM的入门课程,为以后深入学习UVM打下基础。通过在课程当中加入实例的方法来逐步的学习搭建UVM测试平台的每一个过程,真正的实现将知识点与实际的代码相结合,使得课程内容直观容易理解。通过对本课程的学习,可以胜任大部分的UVM验证平台的工作。

课程大纲

第一章 - UVM的基本概念

  1. 01. 章节内容概述、芯片验证和验证计划
  2. 02. 什么是UVM、UVM的特点和UVM提供的资源
  3. 03. 典型的UVM平台结构和小结

第二章 - 构建一个简单的UVM平台

  1. 01. 章节内容概述和UVM平台中的关键组件
  2. 02. 创建用户所需的transaction和创建sequence
  3. 03. 创建sequencer和driver和monitor
  4. 04. 创建agent
  5. 05. 创建environment和testcase
  6. 06. 运行仿真和小结

第三章 - UVM平台组件

  1. 01. 章节内容概述和UVM库
  2. 02. uvm_component
  3. 03. UVM结构树
  4. 04. UVM phase - 1
  5. 05. UVM phase – 2
  6. 06. UVM objection – 1
  7. 07. UVM objection – 2
  8. 08. UVM objection – 3 和小结

第四章 - UVM_factory机制

  1. 01. 章节概述和什么是factory机制和UVM factory机制的原理
  2. 02. 使用UVM factory机制和两个注册宏
  3. 03. UVM factory机制和override机制 – 1
  4. 04. UVM factory机制和override机制 – 2 和小结

第五章 - UVM事务级建模

  1. 01. 章节概述和什么是事务级建模和事务是信息交互的基本单元
  2. 02. 从uvm_sequence_item扩展事务和受约束的随机事务
  3. 03. UVM field automation机制和小结

第六章 - UVM信息服务机制

  1. 01. 本章概述和什么是UVM信息服务机制和信息安全等级及信息行为
  2. 02. 实现四种信息安全等级的宏和自定义信息的行为和小结

第七章 - UVM_configuration机制

  1. 01. 本章概述和什么是UVM configuration机制和特点和原理
  2. 02. 如何使用configuration机制 - 1
  3. 03. 如何使用configuration机制 - 2
  4. 04. 如何使用configuration机制 - 3
  5. 05. 如何使用configuration机制 - 4 和小结

第八章 - UVM sequence机制

  1. 01. 章节概述和什么是UVM sequence机制和为什么需要UVM sequence机制
  2. 02. UVM sequence机制的原理
  3. 03. 如何使用UVM sequence机制 – 1
  4. 04. 如何使用UVM sequence机制 – 2
  5. 05. 如何使用UVM sequence机制 – 3
  6. 06. 如何使用UVM sequence机制 – 4 和本章小结

第九章 - UVM TLM

  1. 01. 章节概述和什么是UVM TLM和为什么要使用TLM
  2. 02. UVM TLM原理
  3. 03. 常用的UVM TLM port和imp
  4. 04. 常用的UVM TLM export和三种port的连接方式
  5. 05. 普通TLM端口的用法 – 1
  6. 06. 普通TLM端口的用法 – 2
  7. 07. 普通TLM端口的用法 – 3 
  8. 08. Analysis port的用法和本章小结

第十章 - UVM analysis_component

  1. 01. 章节概述和UVM 两种分析组件
  2. 02. 如何在测试平台中添加分析组件和小结

第十一章 - UVM callback

  1. 01. 章节概述和什么是UVM callback
  2. 02. 如何使用UVM callback - 1
  3. 03. 如何使用UVM callback - 2
  4. 04. 如何使用UVM callback - 3
  5. 05. 如何使用UVM callback - 4和小结

第十二章 - UVM Advanced_sequence

  1. 01. 本章概述和什么事UVM sequence library
  2. 02. 向UVM sequence library中永久注册sequence
  3. 03. 临时添加sequence到UVM sequence library中和使用UVM sequence library对象
  4. 04. UVM sequence library中的相关变量和配置类
  5. 05. 什么是Virtual sequence和virtual sequence和virtual sequencer
  6. 06. Virtual sequence和virtual sequencer的使用方法和小结

第十三章 - UVM RAL

  1. 01. 章节概述和验证需要包含寄存器和存储器和寄存器抽象层
  2. 02. 寄存器抽象层的工作原理和寄存器模型的构成
  3. 03. 如何将寄存器模型嵌入测试平台中 - 1
  4. 04. 如何将寄存器模型嵌入测试平台中 - 2
  5. 05. 寄存器模型的基本数据结构
  6. 06. 寄存器模型API
  7. 07. 寄存器测试sequence和内建coverage和小结
分类
UVM
课程目标
  • 熟练搭建并使用UVM测试平台,可胜任大部分UVM验证平台的工作
适合人群
  • 本课程适合于从事芯片验证的学生和工程师。参加学习的学员应有一定的System Verilog语言基础;具有System Verilog验证方法学有一定基础的学员可以更轻松的学习该课程。
查看课程

任务列表