简介

本课程采用Xilinx Arty系列开发板

报名立即送1000元的开发板 板卡介绍

 

产品描述

Arty A7是一款基于Artix-7™ FPGA而设计的,打开即用的开发平台。Arty A7具有定制的MicroBlaze™处理器,可针对几乎任何嵌入式应用项目,因此该开发板也成为许多用户所首选的处理平台。与其它单板计算机所不同的是,Arty A7绝不仅仅是一个功能单一的处理外设。它自带功能强大的通信配置,具有包括UART,SPI,IIC和以太网MAC接口;凭借板载的12个32位定时器,它还可以被用作一个精密的计时器;此外,由于附带有广受欢迎的Arduino™ R3和Digilent Pmod™扩展接头,Arty毫无疑问将成为您的工具箱中各类项目适用性最广泛的一款工具。

产品特点:

Xilinx Artix-35T FPGA:

  • 在5200片中的33,280个逻辑单元(每片包含四个6输入LUT和8个触发器)

  • 1800Kbits的快速block RAM

  • 5个时钟管理器,每一个带有锁相回路(PLL)

  • 90个DSP逻辑片

  • 超过450MHz的内部时钟速度

  • 片上模拟数字转换器(XADC)

  • 可编程的JTAG和Quad-SPI闪存

系统特点:

  • 具有16位总线@667MHz的256MB DDR3L

  • 16MB的Quad-SPI闪存

  • USB-JTAG编程电路(需要Micro USB Cable)。

  • 由USB或任意7V-15V的电源供电

系统连接:

  • 10/100Mbps以太网

  • USB-UART桥接

交互感应装置:

  • 4个开关

  • 4个按钮

  • 1个重置按钮

  • 4个LED

  • 4个RGB LED

扩展接口:

  • 4个Pmod连接器

  • Arduino/chipKIT扩展板连接器

程序设计:

  • 包括Vivado设计套件的免费许可密钥

产品包含:

  • Arty A7 Board Artix-7 FPGA

  • 内含保护泡沫的Digilent纸箱包装

  • Vivado Design Suite密钥 

 

【购买Arty用户请同时赠送以下商品(无需再拍):】

1、Micro USB Cable

2、硬质塑料包装盒

上述2项配件为赠送,无需再拍。

 

Arty A7更多资源(请复制链接,至浏览器打开):
1、Arty-A7连载教程汇总贴(陆续补充):http://www.digilent.com.cn/community/211.html
 
分类
FPGA
查看课程

任务列表